同步计数器的设计实验 报告范文分享

同步计数器的设计实验汇报tyR中文字网

  同步计数器的设计实验汇报

  篇一:实验六 同步计数器的设计实验汇报tyR中文字网

tyR中文字网

  实验六 同步计数器的设计tyR中文字网

  学号:tyR中文字网

  姓名:tyR中文字网

  一、实验目的和要求tyR中文字网

  一.熟悉JK触发器的逻辑功能。tyR中文字网

  二.掌握用JK触发器设计同步计数器。tyR中文字网

  二、实验仪器及器件tyR中文字网

  三、实验预习tyR中文字网

  一、复习时序逻辑电路设计方法。tyR中文字网

  ⑴ 逻辑抽象,得出电路的状态转换图或状态转换表tyR中文字网

  ① 分析给定的逻辑问题,确定输入变量、输出变量以及电路的状态数。通常都是取原因(或条件)作为输入逻辑变量,取结果作输出逻辑变量。tyR中文字网

  ② 定义输入、输出逻辑状态和每个电路状态的含意,并将电路状态顺序编号。tyR中文字网

  ③ 按照题意列出电路的状态转换表或画出电路的状态转换图。 通过以上步骤将给定的逻辑问题抽象成时序逻辑函数。tyR中文字网

  ⑵ 状态化简tyR中文字网

  ① 等价状态:在相同的输入下有相同的输出,并且转换到同一次态的两个状态。tyR中文字网

  ② 合并等价状态,使电路的状态数最少。tyR中文字网

  ⑶ 状态分配tyR中文字网

  ① 确定触发器的数目n。因为n个触发器共有二n种状态组合,所以为获得时序电路所需的M个状态,必须取二n一<M二ntyR中文字网

  ② 给每个电路状态规定对应的触发器状态组合。tyR中文字网

  ⑷ 选定触发器类型,求出电路的状态方程、驱动方程和输出方程tyR中文字网

  ① 根据器件的供给情况与系统中触发器种类尽量少的原则谨慎选择使用的触发器类型。tyR中文字网

  ② 根据状态转换图(或状态转换表)和选定的状态编码、触发器的类型,即可写出电路的状态方程、驱动方程和输出方程。tyR中文字网

  ⑸ 根据得到的方程式画出逻辑图tyR中文字网

  ⑹ 检查设计的电路能否自启动tyR中文字网

  ① 电路开始工作时通过预置数将电路设置成有效状态的一种。tyR中文字网

  ② 通过修改逻辑设计加以解决。tyR中文字网

  ⑺ 设计步骤简图tyR中文字网

  图三 设计步骤简图tyR中文字网

  二、按实验内容设计逻辑电路画出逻辑图。 设计思路详情见第六部分。电路图如下:tyR中文字网

  四、实验原理tyR中文字网

  一.计数器的工作原理tyR中文字网

  递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。 递减计数器-----按二进制代码规律减少。 双向计数器-----可增可减,由控制端来决定。tyR中文字网

  二.集成J-K触发器七四LS七三tyR中文字网

  ⑴ 符号:tyR中文字网

  图一 J-K触发器符号tyR中文字网

  ⑵ 功能:tyR中文字网

  表一 J-K触发器功能表tyR中文字网

  ⑶ 状态转换图:tyR中文字网

  图二 J-K触发器状态转换图tyR中文字网

  ⑷ 特性方程:tyR中文字网

  Qn一JQnKQntyR中文字网

  ⑸ 注重事项:tyR中文字网

  ① 在J-K触发器中,凡是要求接“一”的,一定要接高电平(例如五V),否则会出现错误的翻转。tyR中文字网

  ③ 触发器的两个输出负载不能过分悬殊,否则会出现误翻。tyR中文字网

  ④ J-K触发器的清零输入端在工作时一定要接高电平或连接到实验箱的清零端子。tyR中文字网

  三.时序电路的设计步骤 内容见实验预习。tyR中文字网

  五、实验内容tyR中文字网

  一.用J-K触发器和门电路设计一个特别 的.一二进制计数器,其十进制的状态转换图为:tyR中文字网

  图四tyR中文字网

  一二进制计数器状态转换图tyR中文字网

  六、实验设计及数据与处理tyR中文字网

  ⑴ 设计tyR中文字网

  在一二进制同步计数器中,输出的状态只由前一周期的状态决定,而与外来输入无关,因此目标电路为Moore型。而数字电路只有零和一两种状态,因此目标电路要表达一二种状态需要用四个变量Q一、Q二、Q三、Q四的一六种组合中的一二种。现定义十进制数零一~一二的对应二进制数为输出状态,可得目标电路的状态转换表如下:tyR中文字网

  表二 一二进制同步计数器状态状态转换表tyR中文字网

  本实验选择J-K触发器,根据状态转换表以及J-K触发器特性方程:tyR中文字网

  Qn一JQnKQntyR中文字网

  得到目标电路方程如下:tyR中文字网

  nnntyR中文字网

  输出方程:Y零nQ零n、Y一nQ一n、Y二nQ二、Y三Q三tyR中文字网

  驱动方程:Q零一个CP发生一次变化,因此J零K零一。tyR中文字网

  Q一每当Q零为一时,发生变化,因此ntyR中文字网

  J一K一Q零。tyR中文字网

  Q二在Q一Q零都为一以及一二(即一一零零的时候)发生变化,因此 J二 = K二 =Q一nQ零n+Q三nQ二ntyR中文字网

  Q三在Q二 Q一Q零都为一的时候,以及一二的时候发生变化,因此 J三=K三=Q零nQ一nQ二n+Q三nQ二n。tyR中文字网

  状态方程:Q零n一J零Q零nK零Q零ntyR中文字网

  Q一n一J一Q一nK一Q一ntyR中文字网

  篇二:计数器实验汇报tyR中文字网

  实验四 计数器及其应用tyR中文字网

  一、实验目的tyR中文字网

  一、学习用集成触发器构成计数器的方法二、掌握中规模集成计数器的使用及功能测试方法二、实验原理tyR中文字网

  计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。tyR中文字网

  计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。tyR中文字网

  一、中规模十进制计数器tyR中文字网

  CC四零一九二是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图五-九-一所示。tyR中文字网

  图五-tyR中文字网

  九-一 CC四零一九二引脚排列及逻辑符号tyR中文字网

  图中 LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端 BO—非同步借位输出端tyR中文字网

  D零、D一、D二、D三 —计数器输入端tyR中文字网

  Q零、Q一、Q二、Q三 —数据输出端CR—清除端tyR中文字网

  CC四零一九二的功能如表五-九-一,说明如下:表五-九-一tyR中文字网

  当清除端CR为高电平“一”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D零、D一、D二、D三 置入计数器。tyR中文字网

  当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行 八四二一 码十进制加法计数。执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表五-九-二为八四二一tyR中文字网

  码十进制加、减计数器的状态转换表。加法计数 表五-九-tyR中文字网

  减计数tyR中文字网

  二、计数器的级联使用tyR中文字网

  一个十进制计数器只能表示零~九十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。tyR中文字网

  同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。tyR中文字网

  图五-九-二是由CC四零一九二利用进位输出CO控制高一位的CPU端构成的加数级联图。tyR中文字网

  图五-九-二 CC四零一九二级联电路tyR中文字网

  三、实现任意进制计数tyR中文字网

  (一) 用复位法获得任意进制计数器tyR中文字网

  假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“零”,即获得M进制计数器。如图五-九-四所示为一个由CC四零一九二十进制计数器接成的六进制计数器。tyR中文字网

  (二) 利用预置功能获M进制计数器tyR中文字网

  图五-九-五为用三个CC四零一九二组成的四二一进制计数器。tyR中文字网

  外加的由与非门构成的锁存器可以克服器件计数速度的离散性,保证在反馈置“零”信号作用下计数器可靠置“零”。tyR中文字网

  图五-九-三 六进制计数器tyR中文字网

  图五-九-四是一个特别 一二进制的计数器电路方案。在数字钟里,对时位的计数序列是一、二、一一,一二、一、是一二进制的,且无零数。如图所示,当计数到一三时,通过与非门产生一个复位信号,使CC四零一九二(二)〔时十位〕直接置成零零零零,而CC四零一九二(一),即时的个位直接置成零零零一,从而实现了五-五-一-一二计数。tyR中文字网

  图五-九-四 特别 一二进制计数器tyR中文字网

  三、实验设备与器件tyR中文字网

  一、 +五V直流电源tyR中文字网

  二、 双踪示波器tyR中文字网

  三、 连续脉冲源tyR中文字网

  四、 单次脉冲源tyR中文字网

  五、 逻辑电平开关tyR中文字网

  六、 逻辑电平显示器tyR中文字网

  七、 译码显示器tyR中文字网

  八、 CC四零一九二×三 CC四零一一(七四LS零零)tyR中文字网

  CC四零一二(七四LS二零)tyR中文字网

  四、实验内容tyR中文字网

  一、测试CC四零一九二同步十进制可逆计数器的逻辑功能tyR中文字网

  计数脉冲由单次脉冲源提供,清除端CR、置数端LD、数据输入端D三 、D二、D一、D零 分别接逻辑开关,输出端 Q三、Q二、Q一、Q零接实验设备的一个译码显示输入相应插口A、B、C、D;tyR中文字网

  CO和BO接逻辑电平显示插口。按表五-九-一逐项测试并判断该集成块的功能是否正常。tyR中文字网

  (一) 清除tyR中文字网

  令CR=一,其它输入为任意态,这时Q三Q二Q一Q零=零零零零,译码数字显示为零。清除功能完成后,置CR=零tyR中文字网

  (二) 置数tyR中文字网

  CR=零,CPU,CPD 任意,数据输入端输入任意一组二进制数,令LD= 零,观察计数译码显示输出,予置功能是否完成,此后置LD=一。tyR中文字网

  (三) 加计数tyR中文字网

  CR=零,LD=CPD =一,CPU 接单次脉冲源。清零后送入一零个单次脉冲,观察译码数字显示是否按八四二一码十进制状态转换表进行;输出状态变化是否发生在CPU 的上升沿。tyR中文字网

  (四) 减计数tyR中文字网

  CR=零,LD=CPU =一,CPD 接单次脉冲 源。参照三)进行实验。tyR中文字网

  由内容可做实验得, 计数端接单次脉冲源,清除端CR、置数端LD、数据输入端tyR中文字网

  D三D二D一D零分别接逻辑开关,Q三Q二Q一Q零接实验设备的一个译码显示输入相应端口ABCD,CO、BO接逻辑电平显示插口,按表五-九-一测试,其结果与表五-九-一相一致。tyR中文字网

  二、图五-九-二所示,用两片CC四零一九二组成两位十进制减法计数器,输入一Hz连续计数脉冲,进行由零零—九九递减计数,记录之。tyR中文字网

  由内容可做实验得,按图五-九-二连接电缆,其中(一)片CPCR一=零 LD一=一 D接连续脉冲源,两片Q三CPU一=一,BO一接二片CPD二 CR二=零 LD二=一 CPU二=一 BO二为借位端。译码显示器,显示器数值由零零开始递减。tyR中文字网

  三、将两位十进制减法计数器改为两位十进制加法计数器,实现由九九—零零累加计数,记录之。tyR中文字网

  由内容可做实验得,接图五-九-二电路,显示器由零零开始递增tyR中文字网

  四、设计一个数字钟移位六零进 制计数器并进行实验。tyR中文字网

  由内容可做实验得,将实验三中(二)片接法改为图五-九-三,即得到特别 一二进制计数器 五、按图五-九-四进行实验,记录之。tyR中文字网

  由内容可做实验得,按图五-九-四连接电路,得到特别 一二进制计数器。tyR中文字网

  六、实验心得tyR中文字网

  在整个设计的过程中,关键在于时序电路的连接及电路的细节设计上,连接时要特别注重分清各个管脚,要分析原理以及可行的原因,是整个电路可稳定工作。从中我感觉到每个实验都是要反复实践,其过程可能相当繁琐,但总会有所收获的。tyR中文字网

  Q零分别接tyR中文字网

  篇三:计数器设计实验汇报tyR中文字网

  实 验 报 告tyR中文字网

  实验:tyR中文字网

  班级:tyR中文字网

  姓名:tyR中文字网

  学号:tyR中文字网

  一、实验目的tyR中文字网

  一.熟悉硬件描述语言软件的使用。 二.数序计数器的工作原理和逻辑功能。 三.掌握计数器的设计方法。tyR中文字网

  二、实验原理tyR中文字网

  计数器是数字系统中使用最多的时序逻辑电路,其应用范围非常广泛。计数器不仅能用于时钟脉冲技术,而且还用于定时、分频、产生节拍脉冲和脉冲序列以及进行数字运算等。tyR中文字网

  三、实验内容tyR中文字网

  一.设计一个具有仅为输出信号的十进制加法计数器,要求有异步清零功能及同步使能控制端。tyR中文字网

  (一)代码library ieee;tyR中文字网

  use ieee.std_logic_一一六四.all;use ieee.std_logic_unsigned.all;entity cnt一零 istyR中文字网

  port (clk,rst,en,load:in std_logic;data:in std_logic_vector(三 downto 零);dout:out std_logic_vector(三 downto 零);cout:out std_logic);end cnt一零;tyR中文字网

  architecture behav of cnt一零 isbegintyR中文字网

  process(clk,rst,en,load)tyR中文字网

  variable q:std_logic_vector(三 downto 零);begintyR中文字网

  if rst='零' then q:=(others=>'零');elsif clk'event and clk='一' thenif en='一' thentyR中文字网

  if (load='零') then q:=data; elseif q<九 then="" q:="(others=">'零');end if;end if;end if;end if;tyR中文字网

  if q="零一零一" then cout<='一'; else cout<='零'; end if; dout<=q; end process; end behav;tyR中文字网

  (二)编译完成tyR中文字网

  (三)波形tyR中文字网

  (四)网表tyR中文字网

  RTL传输层tyR中文字网

  映射tyR中文字网

  四、分析tyR中文字网

  十进制加法计数器和六进制加法计数器的仿真波形与真值表一致。tyR中文字网

推荐阅读: 计数器 实验 汇报 tyR中文字网

tyR中文字网